No evento Intel Accelerated de hoje, a Intel anunciou uma renomeação em grande escala de seus processos tecnológicos e também apresentou um plano acelerado para recuperar a liderança no campo da fabricação de semicondutores. Entre outras coisas, o plano inclui normas de fabricação com as dimensões dos nós, que são pela primeira vez na indústria especificadas em angstroms em vez de nanômetros.

O anúncio feito no evento Intel Accelerated inclui três componentes. Primeiro, a Intel anunciou que está se afastando da definição numérica tradicional de normas de fabricação em nanômetros. Em segundo lugar, a empresa anunciou o fim iminente da era do nanômetro e delineou uma transição para a tecnologia de semicondutores de nível angstrom. Em terceiro lugar, a Intel traçou um cronograma dentro do qual recuperará sua liderança na fabricação – até 2025.

O anúncio mais significativo diz respeito à transição da Intel para uma nova nomenclatura de tecnologia de processo proprietária. Então, a partir de hoje, a tecnologia SuperFIN 10nm Enhanced é renomeada para Intel 7, o que na verdade coloca a terceira iteração da tecnologia de processo de 10nm da empresa no mesmo nível da tecnologia de processo 7nm da TSMC. Assim, a Intel quer mostrar que sua tecnologia de processo de 10nm não é inferior em termos de parâmetros à tecnologia que é usada, por exemplo, para o lançamento dos modernos processadores AMD Ryzen.

A renomeação foi feita principalmente por motivos de marketing, mas também por motivos técnicos. Tradicionalmente, o que é chamado de tecnologia de processo caracteriza o comprimento da porta de um transistor. Porém, como a complexidade das tecnologias de semicondutores, muitas vezes associada a uma mudança na estrutura dos próprios transistores, os fabricantes passaram a operar com o conceito de “resolução de porta equivalente” – valor que não tem relação com nenhuma característica mensurável. É por isso que a Intel está mudando para uma nova terminologia e substituindo nanômetros abstratos por uma nova característica básica, que estará diretamente relacionada à relação entre desempenho e consumo de energia.

As tecnologias de fabricação da Intel agora serão chamadas de Intel 7, Intel 4, Intel 3 e Intel 20A. Como foi dito no evento, cada etapa ocorrerá enquanto melhora o parâmetro-chave – desempenho por watt. Ao mesmo tempo, cada vez ainda estará associado a uma diminuição geométrica nas normas, mas a empresa não indicará mais nenhuma resolução quantitativa do processo técnico.

A tecnologia SuperFIN de 10 nm da Intel, atualmente usada para fabricar os processadores Tiger Lake, manterá seu nome bem estabelecido. Mas todos os processos técnicos subsequentes serão chamados de forma diferente:

  • Intel 7 (anteriormente Intel 10nm Enhanced SuperFIN technology) – fornecerá um aumento no desempenho por watt de cerca de 10-15% em comparação com Intel 10nm SuperFin, otimizando a estrutura dos transistores FinFET. A tecnologia será usada na produção dos processadores Alder Lake até este ano e chips de servidor Sapphire Rapids, que começarão a ser comercializados no primeiro trimestre de 2022.
  • Intel 4 (anteriormente Intel 7nm) – Proporcionará uma melhoria de cerca de 20% no desempenho por watt, juntamente com aumentos adicionais na densidade do transistor e a introdução da litografia EUV. O Intel 4 será lançado no segundo semestre de 2022 e será usado em processadores de cliente Meteor Lake e processadores de servidor Granite Rapids com vencimento em 2023.
  • Intel 3 – Oferece cerca de 18% de desempenho por watt de ganho em relação ao Intel 4 usando mais otimizações para a estrutura FinFET e litografia EUV aprimorada. O Intel 3 deve estar pronto para produção em massa no segundo semestre de 2023.
  • Intel 20A – será a primeira tecnologia de processo da Intel com dimensão de transistores em angstroms. O Intel 20A deve ser lançado em 2024.
  • Intel 18A – previsto para o início de 2025. Nesta fase, a Intel pretende implementar a litografia High NA EUV, para a qual a empresa está em parceria com a ASML.

É interessante notar que embora os nomes de Intel 20A e Intel 18A se refiram a angstroms – décimos de nanômetro, em termos de tamanho de transistores, isso na verdade não significa nada de concreto. Outra coisa é importante: esses dois processos técnicos serão significativamente diferentes das tecnologias anteriores, pois usarão transistores RibbonFET com uma nova estrutura interna – Gate All Around (GAA) – com canais completamente rodeados por gates. Esses transistores fornecem velocidades de chaveamento mais rápidas em um espaço menor devido à sua estrutura multicanal.

Além do RibbonFET, a tecnologia Intel 20A e Intel 18A usará a tecnologia PowerVia – fornecendo energia da parte de trás do cristal de silício, o que deve simplificar o rastreamento do sinal, eliminando a necessidade de rotear os circuitos de energia na parte frontal do cristal de silício.

Ao longo do caminho, a Intel revelou planos para melhorar as tecnologias para layout de chip multinível. Introduzida em 2017, a tecnologia de montagem Embedded Multi-die Interconnect Bridge (EMIB) 2.5D, que conecta cristais em um único conjunto com pontes semicondutoras, encontrará novas aplicações nos processadores de servidor Sapphire Rapids. Eles serão montados a partir de vários cristais, mas oferecerão o nível de desempenho inerente às soluções monolíticas. A tecnologia multi-chip 3D multi-die da Foveros será um elemento chave dos processadores Meteor Lake.

Após 2023, ambos os esquemas de layout serão aprimorados. O EMIB aumentará a densidade de contato, o que permitirá conexões mais complexas de chip a chip. O Foveros evolui para o Foveros Omni, uma tecnologia que permitirá que os cristais sejam conectados entre si em várias camadas de condutores ao mesmo tempo. Paralelamente, é prometida outra tecnologia, a Foveros Direct, em que as ligações intercristais serão efectuadas directamente – ao nível “cobre-cobre”, sem contactos intermédios com gap não superior a 10 nm. Esse arranjo reduzirá a resistência e aumentará o desempenho das conexões interchip, o que significa que virtualmente eliminará a linha entre os cristais semicondutores na jangada. A combinação de Foveros Omni e Foveros Direct deve abrir caminho para soluções 3D de silício quase monolíticas.

Este plano ambicioso representa o desejo da Intel de acelerar significativamente a inovação na fabricação de semicondutores, alcançar a TSMC em 2024 e reconquistar sua liderança na indústria em 2025. “Já estamos trabalhando no 18A, mas não vou entrar em detalhes técnicos”, disse o Dr. Sanjay Natarajan, vice-presidente sênior e gerente geral de engenharia de processos da Intel. “Mais importante, acreditamos que até 2025 a Intel assumirá a liderança na fabricação de semicondutores com tecnologia 18A.” Obviamente, este plano depende fortemente da implementação de equipamento High NA EUV capaz de fornecer melhor resolução de litografia do que os scanners EUV atuais. E a Intel afirma que será a primeira do setor a obter os agregados de que precisa da ASML.

Deixe um comentário

O seu endereço de e-mail não será publicado. Campos obrigatórios são marcados com *