Apesar da falta de acesso a equipamentos para produção de chips com litografia ultravioleta extrema (EUV) devido às sanções, a empresa chinesa SMIC continua a desenvolver processos de produção de chips de 5 nm e 3 nm. Anteriormente, a SMIC conseguiu estabelecer a produção em massa de chips de 7 nm, contando apenas com a litografia ultravioleta profunda (DUV), o que por si só não é impossível – a tecnologia de processo TSMC N7P também não usa EUV.

Fonte da imagem: SMIC

O relatório Nikkei afirma que imediatamente após o lançamento da tecnologia de processo de 7nm de 2ª geração, a SMIC criou um grupo de pesquisa para trabalhar em tecnologias de processo de 5nm e 3nm. A equipe é liderada pelo codiretor da SMIC, Liang Mong-Song, que trabalhou anteriormente na TSMC e na Samsung. “Não há cientista ou engenheiro mais inteligente do que esse cara”, disse Dick Thurston, ex-conselheiro geral da TSMC. “Ele é realmente uma das mentes mais brilhantes que já vi no campo dos semicondutores.”

A SMIC percorreu um longo caminho desde uma pequena fábrica de semicondutores até o quinto maior fabricante contratado de chips do mundo. Em meio às crescentes tensões entre os EUA e a China, a empresa foi colocada na lista de sanções do Departamento de Comércio dos EUA e perdeu acesso a ferramentas avançadas para processamento de wafers de silício, o que retardou seriamente o seu desenvolvimento e introdução de novos processos tecnológicos.

No momento, as máquinas de litografia ASML Twinscan NXT:2000i são as melhores ferramentas que a SMIC tem à sua disposição – elas podem produzir gravação com resolução de até 38 nm. Este nível de precisão permite a exposição em tons de 38 nm usando uma máscara fotográfica dupla, o que é suficiente para produzir chips de classe de 7 nm. De acordo com pesquisas ASML e IMEC, em 5 nm o pitch do metal diminui para 30-32 nm, e em 3 nm para 21-24 nm, o que já requer o uso de EUV.

Fonte da imagem: ASML

Mas o uso de ferramentas de litografia de altíssima resolução (13 nm para EUV de baixo NA) não é o único caminho para alcançar tamanhos de transistor ultrapequenos. Outra opção é aplicar múltiplas máscaras em sucessão, mas este é um processo complexo que aumenta os tempos do ciclo de produção, reduz o rendimento, aumenta o desgaste do equipamento e aumenta os custos. No entanto, sem acesso à litografia EUV, o SMIC simplesmente não tem escolha senão usar padrões triplos, quádruplos ou mesmo quíntuplos.

Thurston acredita que sob a liderança de Liang Mong-Song, a SMIC será capaz de produzir (se ainda não estiver produzindo) chips de 5 nm em grandes quantidades sem o uso de ferramentas EUV. No entanto, o relatório Nikkei de hoje é o primeiro a revelar a possível capacidade da SMIC de desenvolver um processo de fabricação de 3 nm em hardware da classe DUV em um futuro próximo.

Deixe um comentário

O seu endereço de e-mail não será publicado. Campos obrigatórios são marcados com *