Quente 2025: a próxima batalha em “dois nanômetros”

O progresso tecnológico na indústria de semicondutores, como já observamos mais de uma vez, é um prazer caro. De acordo com analistas do UBS Group AG, a TSMC taiwanesa aumentará suas despesas de capital para US$ 32 bilhões até o final deste ano, e as aumentará ainda mais em 2025, ultrapassando a marca de US$ 37 bilhões. o comissionamento de novas linhas de produção destinadas a produzir microcircuitos de acordo com os padrões tecnológicos de “2 nm” (e num futuro próximo – “14 Å (angstrom)”, ou seja, “1,4 nm”), porque já agora, em meados de 2024 , torna-se claro que o interesse dos potenciais clientes da empresa nestes processos de produção específicos acabou por ser superior às previsões do ano passado e anteriores.

Não muito atrás da TSMC estão seus principais concorrentes na área de produção de VLSI usando os padrões tecnológicos mais avançados – a sul-coreana Samsung Electronics e a americana Intel, que também pretendem dominar a produção em massa de chips de 2 nm em 2025. E tendo em conta o facto de que o enorme mercado de vendas da China continental (além de uma série de outros países classificados como hostis pelo Departamento de Comércio dos EUA) para esses produtos será muito provavelmente fechado – no sentido de que as empresas da RPC não será permitido fazer pedidos para os produtos de 2 nm que entram nas linhas de produção, sem falar na aquisição dos equipamentos correspondentes para a fabricação de chips – o confronto entre os líderes da indústria global de semicondutores promete ser verdadeiramente acirrado.

É nessas oficinas, inundadas com luz amarelo-âmbar (para evitar até mesmo danos acidentais ao fotorresiste sensível a UV), que os chips “2nm” serão produzidos em breve – para o deleite de todos os amantes da IA ​​generativa (fonte: TSMC)

Seus clientes, assim como os consumidores finais de produtos de TI construídos nesses chips, ao contrário, têm motivos para se alegrar: é provável que, mesmo com um custo bastante elevado, os fornecedores tenham que competir entre si para manter os preços de varejo em um nível que seja atraente para o mercado em geral. Isto significa que 2025 tem todas as hipóteses de se tornar mais um ano de avanços em semicondutores – tornando, por exemplo, os notórios modelos generativos de IA ainda mais acessíveis para execução local (e não através de serviços em nuvem). E, ao mesmo tempo, incentivando os criadores de jogos a criar jogos ainda mais emocionantes e fascinantes com maiores requisitos de sistema. Se, claro, tudo correr de acordo com os planos de todos.

⇡#O dinheiro adora a conta

Formalmente, parece não haver obstáculos à implementação destes planos: a ASML monta regularmente novas máquinas de litografia EUV de alto NA, prontas no futuro para garantir a criação de microcircuitos da classe “angstrom”; O governo dos EUA continua a alocar subsídios multibilionários prometidos tanto para o desenvolvimento de suas próprias empresas de fabricação de chips (Intel e Micron em primeiro lugar), quanto para a construção das mais recentes fábricas da TSMC, Samsung Electronics e outras empresas estrangeiras nos Estados Unidos. Estados. E se a economia mundial continuasse a desenvolver-se no mesmo caminho – com taxas de refinanciamento próximas de zero dos principais bancos centrais, tendo os títulos do tesouro americano como sem dúvida o activo mais fiável, o que garantiu um fluxo ininterrupto de fundos para a economia do país e a partir daí a sua redistribuição direcionada para as necessidades mais urgentes – certamente já neste ano, as mesmas, por exemplo, as fábricas da TSMC e da Intel no Arizona, cuja construção foi estimulada pela Lei CHIPS adotada pelo Congresso dos EUA há mais de dois anos, começariam a produzir seus primeiros produtos.

Um dispositivo para montagem seletiva de precisão de chips pré-fabricados em um substrato comum para formar um chip composto usando a tecnologia Foveros (fonte: Intel)

Porém, por enquanto, os principais fabricantes de chips do planeta enfrentam um dilema: precisam aumentar os investimentos em novas tecnologias, mas ao mesmo tempo cortar custos e saldar dívidas anteriormente acumuladas. No início de agosto, a agência internacional Moody’s baixou o rating de crédito da Intel para BAA1 (do anterior A3), justificando este passo com expectativas de deterioração dos indicadores de rentabilidade da empresa no horizonte do próximo ano e meio. Estamos falando especificamente de lucro (grosso modo, trata-se de receita líquida menos impostos e menos custos operacionais), que não irá para os acionistas, já que apenas em 2025-2026 a Intel terá que investir muito no desenvolvimento de padrões de produção progressivos e na construção de novas fábricas. A situação é agravada pela redução da procura dos produtos da empresa – principalmente processadores centrais – em quase todos os segmentos de mercado: por exemplo, apesar dos seus próprios problemas (veja-se, por exemplo, a recente descoberta da vulnerabilidade Sinkclose), a AMD, segundo A Mercury Research está metodicamente, embora lentamente, melhorando suas posições nas áreas de processadores para servidores e consumidores.

É claro que os resultados trimestrais que decepcionaram os acionistas não são uma sentença de morte: os analistas da Moody’s esperam que a divisão de manufatura da Intel Foundry seja capaz de atingir o ponto de equilíbrio operacional até 2027 – se, é claro, a empresa como um todo estabelecer produção em massa de chips a baixo custo e ao mesmo tempo os processos técnicos mais avançados: tanto para nossos próprios projetos de design quanto como fornecedor ODM para clientes terceiros. Mas isto não é nada simples, dado o custo típico estimado de uma já mencionada fotolitografia High-NA EUV de 350 milhões de dólares americanos – apenas para esta máquina em si; sem custos adicionais para sua instalação, ajuste e preparação para produção em massa. Como observamos mais de uma vez em uma série de artigos dedicados às tecnologias de fabricação de chips, cada estágio sucessivo de progresso nesta indústria custa muitas vezes mais que o anterior. Mas embora o mercado de vendas de microcircuitos fabricados de acordo com os padrões mais avançados estivesse em constante expansão, este aumento de preço era plenamente justificado em termos económicos – garantindo aos fabricantes de novos chips (e aos seus accionistas, o que é importante numa economia de mercado) a cobertura dos custos. devido ao aumento da receita.

Esquerda: Principais beneficiários de doações e empréstimos da Lei CHIPS dos EUA em julho de 2024, mostrando cidades e estados onde suas fábricas estão planejadas. À direita: Despesas de capital das principais empresas de semicondutores do mundo em 2022-2023. mais uma estimativa para 2025, se disponível, em bilhões de dólares americanos, bem como sua dinâmica anual em% (fonte: Semiconductor Intelligence)

Enquanto isso, como disse o CEO Pat Gelsinger, embora a receita da divisão Intel Foundry no trimestre de referência tenha ultrapassado US$ 4,3 bilhões, seu prejuízo operacional atingiu US$ 2,8 bilhões – e pelo menos no próximo trimestre, e então essas perdas continuarão a se acumular por mais tempo. Devem-se justamente ao aumento do giro das linhas de produção de acordo com os padrões tecnológicos “Intel 4” e “Intel 3”, à preparação para o início da produção em série de chips de acordo com o padrão “Intel 20A”, bem como ao continuação dos trabalhos no próximo processo técnico – “Intel 18A”, para o qual já em Julho foi produzido o Process Design Kit (lote de amostras de pré-produção, normalmente enviadas para testes às divisões internas da empresa e/ou parceiros interessados na produção ODM). Como observam os representantes do próprio fabricante de chips, uma contribuição significativa para a perda operacional foi feita por linhas de produção mais maduras (nós pré-EUV), mantendo-as em condições de funcionamento hoje requer custos consideráveis, enquanto a receita dos chips fabricados nelas está diminuindo constantemente – uma vez que se tornam cada vez mais baratos à medida que novos processos tecnológicos são desenvolvidos.

Analistas alertam que a intenção da Intel de cortar custos cortando sua força de trabalho em 15% (cerca de 15 mil pessoas em todo o mundo) e cortando investimentos de capital para liberar cerca de US$ 10 bilhões pode ser fatal para o objetivo de Gelsinger imediatamente após sua posse como CEO. o objetivo é alcançar a liderança tecnológica na indústria de microprocessadores, deslocando a taiwanesa TSMC neste pedestal. A empresa americana já prometeu aos acionistas este ano reduzir as despesas brutas de capital em mais de 20% em relação ao valor estimado anteriormente, reduzindo-as assim para 25-27 mil milhões de dólares, e em 2025 reduzi-las ainda mais para 20-23 mil milhões de dólares. Ao mesmo tempo, a própria concorrente taiwanesa Intel – aparentemente não tão limitada pelas expectativas dos acionistas em termos de redistribuição de lucros para pagamento de dividendos (em vez de investimentos de longo prazo na produção) – anunciou recentemente, como mencionamos no início, um aumento em o limite para despesas de capital neste ano dos 30 para 32 bilhões de dólares anteriores. De acordo com analistas da Semiconductor Intelligence, a TSMC continuará a aumentar os investimentos em bens de capital em 2025 – em pelo menos 10% dos volumes de 2024.

Uma máquina para instalar capas protetoras em pacotes de chips compostos destinados a data centers na fábrica da Intel em Chandler, Arizona (fonte: Intel)

E isso, aliás, não é um capricho imprudente (afinal, o fardo das difíceis realidades macroeconômicas não recai apenas sobre a Intel – a TSMC opera aproximadamente no mesmo mercado e em condições comparáveis), mas uma repetição de uma estratégia que tem já se justificou uma vez. Durante a crise financeira de 2008-2009, o fundador da fabricante de chips taiwanesa, Morris Chan, voltou ao cargo de CEO – aos 77 anos, aliás – contra todas as considerações óbvias, aumentou o volume de investimentos de capital, cortando pagamentos de dividendos em troca. Isto, segundo muitos analistas, foi precisamente o que contribuiu para que a TSMC consolidasse a sua posição de liderança na indústria global de semicondutores. Mas ainda tem de defender os seus merecidos louros de liderança: o próximo ano promete ser um momento de confronto intensificado entre fabricantes de chips. A Semiconductor Intelligence enfatiza que, embora os investimentos totais de capital nesta indústria no final de 2024 diminuam em todo o mundo do nível de 2023 em 2%, para 166 mil milhões de dólares, em 2025 crescerão 11% em relação ao ano anterior – até 185 dólares. bilhões, o que ultrapassará o pico absoluto de US$ 182 bilhões alcançado em 2022.

⇡#Olá, estamos em busca de talentos

Outro ponto importante ao qual os especialistas da publicação taiwanesa DigiTimes prestam atenção: o ambicioso plano de Gelsinger de completar o plano quinquenal em quatro anos – para dominar cinco processos tecnológicos sucessivamente cada vez mais diminutos em apenas quatro anos – encontra um obstáculo tão inesperado como a falta do número necessário de especialistas com as qualificações exigidas. Em primeiro lugar, profissionais de alto nível nas áreas de P&D de chips semicondutores e suas tecnologias de produção, é claro; mas não apenas eles sozinhos. Por exemplo, construir a fundação, as paredes e o teto de uma nova fábrica não é suficiente para iniciar a produção em massa de chips VLSI modernos. A volumosa e cara fotolitografia EUV de alto NA requer uma “sala limpa” com tolerâncias extremamente rígidas para o conteúdo de partículas de poeira na atmosfera e de um volume considerável. Mas por razões óbvias, não existem tantos engenheiros, capatazes e mesmo trabalhadores no mundo que estejam aptos e prontos para criar este tipo de instalações com cumprimento garantido da norma, e a maior parte deles já está envolvida em projetos de construção semelhantes. no Sudeste Asiático – onde são pagos. Portanto, já está em curso uma luta séria. Por exemplo, a Samsung Electronics, de acordo com o Korea Economic Daily, iniciou uma revisão radical do seu sistema de incentivos aos funcionários – precisamente para impedir a saída dos talentos de engenharia mais valiosos.

Em teoria, a divisão Intel Foundry Services (IFS), de acordo com a estratégia IDM 2.0 proposta por Gelsinger, deveria ter se tornado uma forja interna de talentos para a controladora – executando pedidos de terceiros, acumulando experiência relevante e depois aplicando-a a produzir os próprios produtos da empresa Intel. Digamos que hoje os chips da família Core Ultra da arquitetura Lunar Lake sejam produzidos inteiramente (e não em módulos de blocos separados, como era praticado anteriormente para Meteor Lake) não em empresas americanas, mas fora – usando o técnico “3-nm” processo (mais precisamente, de acordo com os padrões de produção N3B e N6) nas fábricas da mesma TSMC; simplesmente devido à falta de linhas de produção adequadas à disposição da própria Intel. Desta forma, a fabricante americana de chips recebe, senão perdas diretas, pelo menos uma redução significativa na margem de lucro, e por isso era completamente natural que pretendesse fabricar a próxima geração de processadores, Nova Lake, – já em 2026 – em seu empresas próprias (ainda não construídas) e, ao mesmo tempo, usando tecnologia “Intel 14A” significativamente mais avançada. No entanto, no início de agosto, começaram a chegar informações de fontes próximas aos círculos de semicondutores de Taiwan sobre uma mudança nas prioridades da Intel: a TSMC continuará a ser o principal fabricante de chips Nova Lake, enquanto a fabricante de chips americana “continuará a estudar o desenvolvimento de seus próprios desenvolvimentos na área de padrões de produção “14-Å”, escolhendo o momento certo para deixar de solicitar novos processadores de um parceiro ODM e lançá-los em linhas domésticas.

A tecnologia Chip-on-Wafer-on-Substrate (CoWoS) proposta pela TSMC permite que a distância entre chips individuais dentro de um chip composto seja reduzida para 0,03 mm – em comparação com o mínimo de aproximadamente 20 mm que é necessário, por exemplo, ao montar o núcleo de computação separadamente e memória HBM (fonte: TSMC)

Para ser justo, vale a pena notar que a IFS já tem os seus próprios clientes externos – AWS, Qualcomm, Microsoft e, mais recentemente, NVIDIA, que considerou a capacidade das linhas de embalagem CoWoS nas fábricas da TSMC insuficiente devido ao crescimento explosivo da procura. por seus chips “gráficos” (mais provavelmente “neurais”) para treinamento e execução de modelos generativos de inteligência artificial. As tecnologias avançadas para empacotamento de compostos, incluindo chips CoWoS (TSMC) e Foveros (Intel) integrados verticalmente em um único pacote são semelhantes em muitos aspectos, o que permite que grandes clientes como a NVIDIA distribuam efetivamente a carga entre as linhas de produção disponíveis. Mas ainda assim, a embalagem de chips, mesmo os mais sofisticados hoje, não é tão lucrativa quanto sua fabricação de acordo com os padrões tecnológicos mais avançados – e, portanto, a IFS continuará a ser uma empresa de baixo lucro até dominar a produção confiante de pelo menos pelo menos “3 nm” (lembre-se de que a tecnologia de processo “Intel 3” na terminologia TSMC está mais próxima de “4 nm” do que de “3 nm”) VLSI.

Enquanto isso, a TSMC, objetivamente estando em uma posição melhor em relação à Intel justamente como fabricante de microcircuitos (ela própria, lembremos por precaução, não os desenvolve, atuando justamente como parceiro ODM para sua produção para clientes externos), continua a coletar cupons com confiança tendo como pano de fundo a lenta recuperação do mercado global de semicondutores, principalmente devido ao crescente interesse dos clientes em sistemas de computação orientados para IA. Somente em julho deste ano, a fabricante de chips taiwanesa faturou mais de US$ 7,9 bilhões – 23,6% a mais que em junho anterior e 44,7% a mais que em julho de 2023, atualizando assim seu recorde absoluto de receita mensal e superando as expectativas mais loucas dos analistas para o segundo resultados financeiros do trimestre. Espera-se um novo aumento nas receitas com base nos resultados do terceiro trimestre. — De julho a setembro, a TSMC planeja gerar receitas de US$ 22,4 a US$ 23,2 bilhões, o que corresponde a um aumento trimestral na faixa de 7,6-11,4%. Ao mesmo tempo, os especialistas estimam o seu lucro bruto em impressionantes 53,5-55,5% da receita, e o seu lucro operacional (bruto menos custos operacionais) em 42,5-44,5%. Não é surpreendente que a TSMC esteja construindo ativamente novas fábricas: além das filiais japonesa e americana já em construção, a pedra fundamental da subsidiária European Semiconductor Manufacturing Company (ESMC) em Dresden, Alemanha, está programada para ser lançada no final de Agosto.

Os furos passantes, feitos em uma única passagem em um chip semicondutor em uma fotolitografia EUV de 0,55 NA, estão localizados extremamente próximos (a distância mínima entre seus centros é de 30 nm) e exatamente de acordo com o padrão pretendido (fonte: ASML, Imec)

Assim, enquanto a Intel está vendendo sua participação no desenvolvedor de arquiteturas de processador Arm em um esforço para minimizar perdas, seus concorrentes no domínio dos processos tecnológicos mais avançados estão aumentando ativamente seu impulso. E não são apenas as empresas taiwanesas e sul-coreanas que dependem principalmente das mesmas fotolitografias EUV prontas para uso da ASML que a própria Intel, que na versão mais recente High-NA (com uma abertura numérica de 0,55) agora permite a fabricação de semicondutores em cristais de passagem única com furos passantes a distâncias de 30 nm entre seus centros, bem como realizar estruturas topológicas (elementos transistores e suas interconexões) com dimensões características não superiores a 9,5 nm com espaçamento entre eles de 19 nm. Nos últimos meses, os japoneses também aderiram ativamente a esta corrida: além de criar uma litografia de nanoimpressão Canon, o Instituto de Ciência e Tecnologia de Okinawa conseguiu simplificar significativamente o design ótico de uma máquina EUV padrão, contentando-se com apenas dois espelhos em vez de seis. Se levarmos em conta o quão significativas são as perdas de energia durante reflexões múltiplas de um feixe de fótons, uma fotolitografia de dois espelhos preparada para produção em série será capaz de fornecer aos fabricantes de chips que a utilizam uma redução significativa nos custos de produção – e, portanto, um ganho dramático no custo de microcircuitos acabados.

⇡#Dê-me dois!

A Lei Americana CHIPS, que garantiu subsídios totais (sob a forma de subvenções e empréstimos) de quase 56 mil milhões de dólares aos fabricantes de semicondutores que constroem fábricas nos Estados Unidos, está longe de ser o único programa deste género no mundo. Os investigadores da Semiconductor Intelligence anteriormente mencionados indicam que a União Europeia como um todo está preocupada com o desenvolvimento da indústria de microprocessadores nos seus territórios (o volume do seu programa semelhante é de 46 mil milhões de dólares), Alemanha separadamente (21 mil milhões de dólares), Coreia do Sul (55 mil milhões de dólares). mil milhões; no entanto, apenas sob a forma de benefícios fiscais), Japão (25 mil milhões de dólares), Taiwan (16 mil milhões de dólares), Índia (10 mil milhões de dólares) e, claro, China – 142 mil milhões de dólares.

No entanto, do ponto de vista do desenvolvimento mais rápido e bem sucedido de processos tecnológicos da classe “2nm”, os principais concorrentes na arena global de semicondutores serão, naturalmente, a Intel com o seu padrão de produção “Intel 20A/18A” e TSMC com processos N2/N2P. A prontidão do processo técnico N2 para produção em massa de chips em 2025 já foi anunciada; O N2P ficará mais próximo do segundo semestre de 2026 com o seu desenvolvimento evolutivo, garantindo que a produtividade dos produtos acabados aumente em aproximadamente 5% e a sua eficiência energética melhore em 5-10%. Relatos confiantes de representantes da TSMC sobre a manutenção do ritmo planejado de desenvolvimento do N2 fazem com que os especialistas duvidem que o Intel 18A, quando atingir a fase de produção em massa em 2026, será capaz de se tornar, aos olhos dos potenciais clientes, uma alternativa desejável ao N2 Opções /N2P oferecidas pela TSMC – embora mais Uma versão “bruxa” deste padrão de produção, “Intel 20A”, deve aparecer da empresa americana antes da taiwanesa.

Anatomia de um processador Arrow Lake composto de “2nm” (fonte: Intel)

O conceito Foundry 2.0, anunciado em julho, promete dar um impulso adicional ao desenvolvimento da TSMC – adotado não tanto em desafio ao IDM 2.0 da Intel, mas claramente levando-o em consideração. A fabricante de chips taiwanesa se esforça para se tornar para seus clientes não apenas um fabricante de VLSI personalizado, mas um provedor de serviços de fabricação de semicondutores de ciclo completo – desde a preparação de máscaras litográficas até a embalagem e teste de chips acabados. A partir do primeiro trimestre Até 2024, a TSMC será responsável por 61,7% de todas as receitas da fabricação global de chips por contrato e, com a implementação do Foundry 2.0, esta empresa espera cobrir pelo menos 28% da indústria global de semicondutores como um todo (também em termos monetários) com suas ofertas.

A propósito, é interessante que o principal fabricante de chips de Taiwan continue a contar com fotolitografias EUV não de High-NA, mas da geração anterior, que são mais econômicas e se pagam em grande parte na fase de produção em massa de “ Microcircuitos de 5 nm” – o que lhe confere uma vantagem adicional em relação à Intel, que já está adquirindo a segunda máquina ultramoderna desta classe da ASML. De acordo com fontes do DigiTimes, a TSMC pretende adquirir massivamente o equipamento correspondente já em fase de domínio da tecnologia de processo A14P (aproximadamente a mesma categoria “1,4 nm” do “Intel 14A”) apenas em 2028, e até então continuará para se contentar principalmente com máquinas menos avançadas. O que, do ponto de vista económico, coloca novamente a Intel em desvantagem – uma vez que a fabricante americana de chips terá de devolver investimentos de capital em novos equipamentos de produção nos próximos anos, o que limitará a sua capacidade de manobrar preços no mercado aberto.

Os fabricantes sul-coreanos de microeletrônica demonstram orgulhosamente wafers fotolitografados com VLSI de “3 nm” baseados em transistores GAA – e estão confiantes de que em cerca de um ano também poderão mostrar produtos de “2 nm” de sua própria produção (fonte: Samsung Electronics )

A sul-coreana Samsung Electronics escolheu seu próprio caminho especial para “2 nm”, que também declara sua disposição para lançar a produção em massa de chips de “2 nm” em 2025. Deve-se ter em mente que a tecnologia “3nm” dominada por esta empresa (formalmente a primeira no mundo) não é particularmente popular entre os clientes: na verdade, o único grande cliente desses chips do fabricante sul-coreano é a System LSI, sua própria divisão, envolvida, em particular, no design do sistema Exynos em chip. Os especialistas chamam a razão para uma atitude tão contida dos clientes em relação a uma alternativa aparentemente razoável ao TSMC, que atualmente reina supremo no segmento “3nm”, a porcentagem devastadoramente baixa de rendimento de chips utilizáveis ​​feitos de acordo com este padrão de produção nas linhas da Samsung Electronics, é por isso que o custo dos produtos acabados (e aceitos pelo cliente) acaba sendo mais alto e as datas de entrega acordadas podem ser significativamente atrasadas.

No entanto, a fabricante de chips sul-coreana não tem para onde ir: embora sua posição no mercado de chips de memória (DRAM e NAND) seja bastante forte, no segmento de chips lógicos ela está significativamente atrás da TSMC e da Intel – e é aqui que podemos esperar às altas margens de produção de semicondutores, impulsionadas pela demanda significativa de hardware para computação de IA. A rigor, a RAM HBM de alta velocidade para gráficos e neuroaceleradores também é um produto muito lucrativo, e a Samsung Electronics a fornece com sucesso para a mesma NVIDIA. Mas como os padrões de produção de chips de memória em termos de miniaturização são visivelmente inferiores aos dos VLSIs lógicos, a concorrência nesta área é significativamente maior – e as margens são correspondentemente mais baixas.

Estágios de melhoria das tecnologias de microprocessadores à medida que os padrões tecnológicos para produção de VLSI são miniaturizados (fonte: Intel)

Assim, a fabricante de chips sul-coreana – mais precisamente, sua divisão de semicondutores Samsung Foundry – pretende ocupar imediatamente uma posição vantajosa no ainda inexistente segmento de microcircuitos customizados de “2 nm”, desenvolvendo um processo técnico adequado baseado em transistores com Gate All Around (GAA) e, assim, perceber a sua vantagem – obtida, no entanto, ao custo de ficar atrás do líder taiwanês em pontos na classificação “3-nm” atualmente relevante. Os transistores GAA já estão sendo usados ​​pela empresa sul-coreana na primeira geração de seus chips “3nm” – e, como já discutimos em um dos artigos sobre “ciclo de semicondutores”, eles parecem ser uma escolha muito promissora para chips ainda menores. padrões de produção. É verdade que as suas vantagens técnicas – menor perda de calor quando uma carga passa pela porta, maior eficiência energética – são largamente compensadas por dificuldades puramente tecnológicas em estabelecer a sua produção em massa com uma elevada percentagem aceitável de rendimento de produtos adequados. A TSMC e a Intel também pretendem mudar para os transistores NanosheetGAA e RibbonFET – respectivamente, no decorrer do domínio dos processos técnicos N2 e Intel 20A/18A. No entanto, tendo em conta a experiência que a Samsung Foundry já adquiriu ao trabalhar com a GAA, a fabricante de chips sul-coreana tem chances consideráveis ​​de obter uma vantagem aqui, mesmo que apenas temporariamente.

Por sua vez, a Intel confirmou recentemente a sua intenção de iniciar a produção em série de processadores da arquitectura Arrow Lake antes do final deste ano – embora anteriormente especialistas da indústria tenham expressado preocupações de que, no contexto de uma redução forçada nos investimentos de capital, registo de perdas trimestrais e o adiamento da Evento Innovation 2024, a fabricante americana de chips adiaria o lançamento do primeiro chip dessa classe, que será fabricado, como esperado, com tecnologia Intel 20A. Assim, formalmente – se o lançamento planejado ocorrer em 2024 – a Intel estará à frente dos rivais taiwaneses e sul-coreanos no domínio do chamado segmento “2nm”. Embora não devamos perder de vista o exemplo da mesma Samsung: embora tenha sido a primeira a iniciar a produção em massa de VLSI “3 nm”, a nata da ordem dos microcircuitos que utilizam este processo técnico é justamente pelo motivo já mencionado devido à parcela muito baixa de chips GAA utilizáveis ​​​​em cada wafer fotolitografado – a TSMC ainda os removeu: um pouco tarde, mas contando com estruturas FinFET que foram perfeitamente depuradas na produção.

Despesas de capital da TSMC por ano, bilhões de dólares americanos (fonte: Bloomberg)

A propósito, a própria TSMC leva a sério a manutenção da liderança global na corrida “2nm”. De acordo com DigiTimes, a empresa está se preparando sistematicamente para a transição para um novo processo tecnológico em 2025 e além, pelo menos seis de suas fábricas somente em Taiwan, e a empresa do fabricante de chips taiwanês no Arizona, com comissionamento iminente, também se especializará primeiro em “2 nm” e depois em chips “1,4 nm”. No total, até 2025, a ASML fornecerá à TSMC 60 fotolitografias EUV (não High-NA por enquanto, lembre-se), principalmente para linhas de “2 nm”, por um valor total de US$ 12,3 bilhões.

No entanto, ao contrário da competição “3nm”, quando foi muito fácil para o fabricante de chips taiwanês contornar o fabricante sul-coreano que teve uma falsa largada, desta vez os pontos fortes e capacidades de ambos os seus principais rivais são muito grandes (além disso, não vamos esqueçamos os crescentes fabricantes japoneses de semicondutores) – apesar de todos os factores objectivos jogarem contra eles. Portanto, se a demanda por hardware de alto desempenho para computação de IA continuar a atender às ousadas previsões dos analistas, não há dúvida de que toda a capacidade de produção de “2nm” disponível estará totalmente carregada com pedidos à medida que entrarem em operação, inclusive de fornecedores concorrentes. – para alegria de nós, consumidores finais.

Materiais relacionados

  • A Samsung produz chips para clientes externos com prejuízo.
  • A japonesa Rapidus, por meio da automação, espera agilizar significativamente a execução de pedidos de produção de chips de 2nm.
  • A Imec criou pequenas estruturas semicondutoras recordes em uma única passagem usando High-NA EUV.
  • A Intel se vangloriou de seu sucesso em dominar a tecnologia de processo de 1,8 nm para si e para clientes contratados.
  • A Intel instalará em breve um segundo scanner de litografia ASML com High-NA EUV – ele produzirá chips de 1,4 nm.
  • A produção do Samsung Exynos 2500 começará no segundo semestre – o chip pode acabar no Google Pixel.
avalanche

Postagens recentes

Zoom possibilita hospedar webinars para 1 milhão de participantes simultâneos

Zoom anunciou a capacidade de hospedar webinars com até um milhão de pessoas ao mesmo…

2 horas atrás

Google encerra programa de recompensas por bugs para aplicativos Android

O Google anunciou que está encerrando seu programa de recompensa por vulnerabilidade de aplicativos Android,…

3 horas atrás

O Windows 11 permitirá que você habilite HDR separadamente para jogos e streaming de vídeo

A Microsoft adicionará um recurso ao Windows 11 que habilitará automaticamente o HDR para streaming…

8 horas atrás

Zotac lançou GeForce RTX 4070 Blower com “turbina”

A Zotac apresentou a placa de vídeo GeForce RTX 4070 Blower, equipada com sistema de…

8 horas atrás